Welcome![Sign In][Sign Up]
Location:
Search - dac fpga

Search list

[Other resourceFPGA-based-DAC

Description: 用fpga实现的DA转换器,有说明和源码,VDHL文件。 A PLD Based Delta-Sigma DAC Delta-Sigma modulation is the simple, yet powerful, technique responsible for the extraordinary performance and low cost of today s audio CD players. The simplest Delta-Sigma DAC consists of a Delta-Sigma modulator and a one bit DAC. Since, both of these components can be realized using digital circuits, it is possible to implement a low precision Delta-Sigma DAC using a PLD.
Platform: | Size: 58756 | Author: 开心 | Hits:

[ARM-PowerPC-ColdFire-MIPSAUDIO_DAC

Description: 一个关于声音处理的Verilog语言编写的解码芯片,可以用于FPGA处理芯片的IP核,欢迎大家来用。-a voice on the Verilog language decoder chip, FPGA can be used to handle IP core chips, all are welcome to use.
Platform: | Size: 2048 | Author: 赵春生 | Hits:

[DSP programADC_DAC

Description: This example streams input from a ADC source to a DAC. An analog signal is acquired block-by-block into SDRAM from the ADC (an AD9244 in this example). The frames are then output with a one-frame delay to the DAC (an AD9744 in this example). In this example, no processing is done on the frames. They are passed unaltered.
Platform: | Size: 23552 | Author: gaofeng | Hits:

[VHDL-FPGA-Verilogsjb

Description: FPGA或CPLD与DAC(DAC0832),这个源代码是产生一个三角波,还带有仿真,精度是8位。-FPGA or CPLD with DAC (DAC0832), the source code is a triangular wave, but also with the simulation, the precision is 8.
Platform: | Size: 54272 | Author: 刘光钦 | Hits:

[Software EngineeringFPGA

Description: 几篇关于FPGA做视频项目论文,希望对大家有帮助-FPGA to do a few video projects on paper, in the hope that everyone has to help
Platform: | Size: 552960 | Author: hbsun | Hits:

[VHDL-FPGA-VerilogFPGA-based-DAC

Description: 用fpga实现的DA转换器,有说明和源码,VDHL文件。 A PLD Based Delta-Sigma DAC Delta-Sigma modulation is the simple, yet powerful, technique responsible for the extraordinary performance and low cost of today s audio CD players. The simplest Delta-Sigma DAC consists of a Delta-Sigma modulator and a one bit DAC. Since, both of these components can be realized using digital circuits, it is possible to implement a low precision Delta-Sigma DAC using a PLD.-Using FPGA to achieve the DA converter, has descriptions and source code, VDHL document. A PLD Based Delta-Sigma DACDelta-Sigma modulation is the simple, yet powerful, technique responsible for the extraordinaryperformance and low cost of today s audio CDplayers. The simplest Delta-Sigma DAC consists of aDelta-Sigma modulator and a one bit DAC. Since , both of these components can be realized usingdigital circuits, it is possible to implement a lowprecision Delta-Sigma DAC using a PLD.
Platform: | Size: 58368 | Author: 开心 | Hits:

[SCMMSP430F149_IIC_DAC5571

Description: 本源码维MSP430F149控制IIC协议的AD芯片DAC5571,并再1602液晶上显示数据 MCU的P1.0、P1.1 端口与DAC5571 的SDA、SCK端口连接,通过在两个GPIO上模拟 I2C时序从而实现对DAC的操作。可以看到,DAC5571 的输出端Vout连接到了跳线座P7 的第 1 脚。如果用短路帽将跳线座J1 的 2 脚 和 3 脚连接,则DAC的输出直接驱动LED,可以通过LED亮度的变化直观地观察到 DAC输出电压值的变化;如果用短路帽将跳线座J1 的2 脚和1 脚连接,则可以用 MSP430 内置的ADC对DAC输出的电压进行采样转换,对ADC和DAC电路同时进行应用。-MSP430F149-dimensional control of the source of the AD Agreement IIC chip DAC5571, and another 1602 on the display data LCD MCU of P1.0, P1.1 ports of the DAC5571 and SDA, SCK-port connectivity, through two GPIO on I2C timing simulation in order to achieve DAC operation. Can be seen, DAC5571 output Vout is connected to a jumper P7 Block 1 foot. If the cap will short-circuit jumper J1 Block, 2 pin and 3 pin connection, the DAC output to directly drive LED, through the LED brightness can be visually observed changes in DAC output voltage changes in value If the cap will short-circuit jumper Block J1 2 feet and 1 foot to connect, you can use the built-in ADC of the MSP430 output voltage DAC sampling conversion of ADC and DAC circuit applications at the same time.
Platform: | Size: 35840 | Author: skywalker | Hits:

[VHDL-FPGA-Verilogsopc_avalon_audio_dac_fifo

Description: fpga嵌入式系统组件,可以很方便的扩展,是个实例的例子,可以实现歌曲播放-FPGA embedded system components, it is easy to expand, is an example of the example, you can realize music player
Platform: | Size: 14336 | Author: dahai | Hits:

[VHDL-FPGA-Verilogconfig_dac

Description: Verilog实现 spi接口的FPGA实现 通过仿真,修改后即可应用-Verilog realize spi interface FPGA to achieve through the simulation, the application can be modified
Platform: | Size: 274432 | Author: 强冰 | Hits:

[VHDL-FPGA-VerilogFPGAAD

Description: FPGA控制AD程序,ADC,DAC转换接口.rar 有限状态机控制AD采样.rar-FPGA control AD procedure
Platform: | Size: 269312 | Author: 黄群 | Hits:

[Otherdac900

Description: ti dac900,高性能D/A转换芯片,很好的片子,大家可以看一下-The DAC900 is a high-speed, Digital-to-Analog Converter (DAC) offering a 10-bit resolution option within the SpeedPlus family of high-performance converters. Featuring pin compatibility among family members, the DAC908, DAC902, and DAC904 provide a component selection option to an 8-, 12-, and 14-bit resolution, respectively.
Platform: | Size: 586752 | Author: www | Hits:

[OtherADV7123_a

Description: adv7123 ram dac 10bit used always for hicolor fpga designs also soc systems
Platform: | Size: 309248 | Author: urga turg | Hits:

[VHDL-FPGA-VerilogDAC

Description: a verilog code about dac of audio codec on fpga board.
Platform: | Size: 1024 | Author: DCLAB | Hits:

[VHDL-FPGA-VerilogADCDAC

Description: FPGA 开发板实验例程。。高速ADC,DAC实现-FPGA development board test routines. . High-speed ADC, DAC achieved. .
Platform: | Size: 2760704 | Author: 李娟 | Hits:

[VHDL-FPGA-Verilogdac

Description: DA芯片输出控制 SPI协议 只写不读 FPGA用 verilog-DA-chip SPI protocol output control does not read write-only FPGA with verilog
Platform: | Size: 1024 | Author: wuzhongpeng | Hits:

[VHDL-FPGA-VerilogDac

Description: FPGA(xilinx) 赛灵思试验箱 试验程序2 数字/模拟转换DAC FPGA(xilinx) testbox test programe DAC-FPGA(xilinx) testbox test programe DAC
Platform: | Size: 788480 | Author: zengrong | Hits:

[VHDL-FPGA-VerilogDAC

Description: 这是一段基于FPGA的dac转换代码。欢迎大家下载测试使用。(This is a section of FPGA based DAC conversion code. Welcome to download, test, use.)
Platform: | Size: 1341440 | Author: nichengshi | Hits:

[VHDL-FPGA-VerilogAD5683 Driver

Description: AD5683 16位高精度DAC的FPGA程序,采用Verilog语言编写(AD5683 16 bit high precision DAC FPGA program, written in Verilog language)
Platform: | Size: 2048 | Author: swordyan | Hits:

[VHDL-FPGA-Verilog5.DAC

Description: 数模转换器即 D/A 转换器,或简称 DAC,是指将数字信号转变为模拟信号的电子元件。(A digital to analog converter, or D/A converter, or DAC, is an electronic component that converts digital signals into analog signals.)
Platform: | Size: 6842368 | Author: 天天爱上学 | Hits:

[VHDL-FPGA-Verilog基于DSP和FPGA的通用数字信号处理系统设计

Description: 利用DSP配合FPGA为硬件架构,以DSP为数据处理核心,通过FPGA对USB、ADC和DAC等外围设备进行控制,并可实现频谱分析、数字滤波器等数字信号处理算法。(With DSP and FPGA as the hardware architecture and DSP as the data processing core, the peripheral devices such as USB, ADC and DAC are controlled by FPGA, and the digital signal processing algorithms such as spectrum analysis and digital filter can be realized.)
Platform: | Size: 688128 | Author: 小冰2 | Hits:
« 12 3 4 5 »

CodeBus www.codebus.net